Everyday is Sunday !

趣味満載のブログ #電子工作 #東方 #アニメ #音楽

VSCode でやる Efinix FPGA 開発環境構築 〜Ubuntu シミュレーション編

他の記事はこちらから
aki-fpga.com aki-fpga.com

前回の続きから、シミュレーション環境構築になります。

開発環境の導入、シミュレーション実行

下記記事がとても分かりやすいです。 参考にして波形生成まで動くことを確認します。 qiita.com

シミュレーションができたら、前回までの環境構築のようにショートカットキーで実行できるように設定します。
tasks.json と makefile を作成して下記を記載(追記)します。

# Sim 出力ファイル名
TBOUT    = tbwave.out

# Tb Top Module名
TBTOP    = ANDTEST

# Tb Top Module.v
TBTOPRTL = and_test.v

# Tb Instance.v, *.v で一括指定も可能
TBRTL    = and.v

sim:
    iverilog -o $(TBOUT) -s $(TBTOP) $(TBRTL) $(TBTOPRTL)
    vvp -n $(TBOUT)
"tasks": [
    {
        "label": "Sim",
        "type": "shell",
        "command": "make sim",
        "group": {
            "kind": "build",
            "isDefault": true
        }
    },
]

記載が終わったら、ctrl + shift + B から Sim を実行できるようになっているので実行します。
波形ファイルは上書き出力されるので、GTKWave 上で ctrl + shift + R を押すことで、ファイルを再読込し波形を表示してくれます。

終わりに

合成、シミュレーション、Wraning 確認は、何千何万回と繰り返し行う作業ですので、
少しでも開発の簡略化に繋がってくれればいいなと思います。